Skip to main content


Eclipse Community Forums
Forum Search:

Search      Help    Register    Login    Home
Home » Language IDEs » C / C++ IDE (CDT) » Oxygen Debug Variables Disappear.(While debugging variables disappear when I step into a function.)
Oxygen Debug Variables Disappear. [message #1777282] Tue, 28 November 2017 06:24 Go to next message
Cruz Muniz is currently offline Cruz MunizFriend
Messages: 4
Registered: November 2017
Junior Member
When I first hit debug it shows my command line arguments:

index.php/fa/31418/0/

And after I step into a function it just goes blank:

index.php/fa/31419/0/

I just want to track a global variable.
Can someone please help.
  • Attachment: Screenshot_1.png
    (Size: 104.42KB, Downloaded 233 times)
  • Attachment: Screenshot_3.png
    (Size: 85.80KB, Downloaded 213 times)
  • Attachment: 1.png
    (Size: 14.54KB, Downloaded 1140 times)
  • Attachment: 2.png
    (Size: 12.99KB, Downloaded 1057 times)

[Updated on: Wed, 29 November 2017 04:19]

Report message to a moderator

Re: Oxygen Debug Variables Disappear. [message #1777408 is a reply to message #1777282] Wed, 29 November 2017 09:30 Go to previous messageGo to next message
David VavraFriend
Messages: 1426
Registered: October 2012
Senior Member
As far as I know this is normal behavior.
There was some discussion about this at
https://bugs.eclipse.org/bugs/show_bug.cgi?id=219040

To examine or watch global variables, you can enter them into the Expressions View.
Window --> Show View --> Expressions

If necessary use the "::" scope operator but only in C++.


Re: Oxygen Debug Variables Disappear. [message #1777535 is a reply to message #1777408] Thu, 30 November 2017 05:09 Go to previous messageGo to next message
Cruz Muniz is currently offline Cruz MunizFriend
Messages: 4
Registered: November 2017
Junior Member
Multiple errors reported.

1) Failed to execute MI command:
-var-create - * token
Error message from debugger back end:
-var-create: unable to create variable object

2) Unable to create variable object

3) Failed to execute MI command:
-data-evaluate-expression token
Error message from debugger back end:
No symbol "token" in current context.

4) Failed to execute MI command:
-var-create - * token
Error message from debugger back end:
-var-create: unable to create variable object

I get that when I try to use the Expressions tab.
token is a global string that I want to watch.
I have tried Expressions and a watch point. I cannot get anything to work.
Re: Oxygen Debug Variables Disappear. [message #1777640 is a reply to message #1777535] Fri, 01 December 2017 07:49 Go to previous messageGo to next message
Cruz Muniz is currently offline Cruz MunizFriend
Messages: 4
Registered: November 2017
Junior Member
Ok I found out that it works for my char global but not my string.
Re: Oxygen Debug Variables Disappear. [message #1777641 is a reply to message #1777535] Fri, 01 December 2017 07:50 Go to previous message
Cruz Muniz is currently offline Cruz MunizFriend
Messages: 4
Registered: November 2017
Junior Member
Ok I found out that it works for my char global but not my string.
Previous Topic:debug with Cygwin fails
Next Topic:[Linux hosts] Remote connections cannot be created with Oxygen.1a because of Secure Storage
Goto Forum:
  


Current Time: Fri Apr 26 20:25:54 GMT 2024

Powered by FUDForum. Page generated in 0.03210 seconds
.:: Contact :: Home ::.

Powered by: FUDforum 3.0.2.
Copyright ©2001-2010 FUDforum Bulletin Board Software

Back to the top